Commit 64d1eb9cee9da7636d1edb5b0b19ca0d418dbfde

Authored by Georg Hopp
1 parent b1c892d9

Fix while loop abort condition

Showing 1 changed file with 1 additions and 1 deletions
... ... @@ -73,7 +73,7 @@ TR_eventDispatcherStart(TR_EventDispatcher this)
73 73 size_t idx = TR_queueFirst(handler_queue);
74 74 TR_EventDone done = TR_EVENT_PENDING;
75 75
76   - while (idx != TR_queueLast(handler_queue)) {
  76 + while (idx != TR_queueLast(handler_queue) + 1) {
77 77 TR_EventHandler handler = handler_queue->data[idx];
78 78 TR_EventDone this_done;
79 79
... ...
Please register or login to post a comment